CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 脉冲 发生

搜索资源列表

  1. LFM

    0下载:
  2. 线性调频信号发生 以及针对线性调频信号的脉冲压缩-Linear FM signal and the linear frequency modulation pulse compression
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1048
    • 提供者:傅习
  1. HolePulse

    0下载:
  2. 模拟霍尔脉冲,一个很简单的脉冲电路,可以添加在信号发生里。-Analog Hole pulse, a very simple pulse circuit can be added in the signal.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:792
    • 提供者:马可
  1. PLSQL-Developer-6.0.4

    2下载:
  2. 得加了示波器或频谱仪,可以对照理论清楚观察到信号发生的变化。matlab6.5及以上版本上运行。 [20042316412259395.rar] - matlab的雷达相关程序,包括MTIMTDCFAR,动目标自适应处理 [keyboard_input_v1.02.zip] - matlab键盘输入模型程序,用simulink制作。在7.0以上版本直接运行 运行过程中按一下键盘就会看到示波器上出现一个脉冲信号。 [pplbq.rar] - 线性调频信号通过匹配滤波器的程序
  3. 所属分类:matlab例程

    • 发布日期:2013-08-10
    • 文件大小:10963305
    • 提供者:郭槐
  1. UWB-Gausinn-5th-Derivetive

    0下载:
  2. UWB五阶高斯脉冲信号发生源代码,用于生成UWB信号-UWB Gausinn 5th Derivetive
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:5271
    • 提供者:chen
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. jiaohuanji

    0下载:
  2. 程控交换机有时发生“死机”故障,有时却没有发生,有时发生的次数比较多,有时发生的次数却少,因此,程控交换机的死机故障分为暂时性故障、随机性故障。引起程控交换机“死机”故障的原因主要有以下几个:清零复位信号出现问题、时钟脉冲信号出现问题、噪音干抗引起的问题、时延以及环境条件问题。本文主要对HJD-04 程控交换机的“死机”故障进行分析,探析引起死机的以上几个原因。-PBX occasional " crash" failure, sometimes does not occur,
  3. 所属分类:Project Manage

    • 发布日期:2017-05-08
    • 文件大小:1846783
    • 提供者:
  1. 2

    0下载:
  2. 对单载频高斯脉冲的发生和其频移的研究,能够正常运行,很好的学习的例子。-The single transmits the happening of the gaussian pulse and its frequency shift research, can run normally, very good example.
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1304
    • 提供者:zhoubiquan
  1. 8253jishuqi

    0下载:
  2. 用8253对标准脉冲信号进行计数,就可以实现定时功能。用板上的1MHz作为标准信号,将8253可编程计数器/定时器的时间常数设在1000000次,就可以在定时器的管脚上输出1秒针高/1秒钟低的脉冲信号。因为8253每个计数器只有十六位,要用两个计数器才能实现一百万次的计数,实现每一秒输出状态发生一次反转。-With 8253 pairs of standard pulse counting, timing can be achieved. 1MHz with the board as a sta
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:657
    • 提供者:徐荣敏
  1. traffic-lights-the-controller-design

    0下载:
  2. 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯亮,东西黄灯亮,南北红灯亮,东西红灯亮,南北绿灯亮,东西红灯亮,南北黄灯亮,东西绿灯亮,南北红灯亮……,这样循环下去。 南北方向是主干道车到,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间为30秒,支干道每次通行时间为20秒,时间可设置修改。 在绿灯转为红灯时,要求黄灯先亮
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:169279
    • 提供者:sunny
  1. trafficlight

    0下载:
  2. 该数字系统完成对十字路口交通信号灯的控制,十字路口由一条东西方向的主干道(简称A道)和南北方向的支干道(简称B道)构成。 十字路口交通灯控制规则为: (1) 初始状态为4 个方向的红灯全亮,时间1s。 (2) 东西方向绿灯亮,南北方向红灯亮。东西方向通车,时间30s。 (3) 东西方向黄灯亮,南北方向红灯亮,时间5s。 (4) 东西方向红灯亮,南北方向绿灯亮。南北方向通车,时间20s。 (5) 东西方向红灯亮,南,北方向黄灯亮,时间5s。 (6) 返回(2),继续运行。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:699632
    • 提供者:蔡利波
  1. SCM-taxi-system

    0下载:
  2. 随着出租车的普及,其计价系统也在日益更新。我们在51单片机的基础上设计出租车的计价系统,具有成本低廉、操作简单、显示明了的特点,是实践课程知识的一个有意义的生活应用。 整个系统只有两个按键,一个“启动/停止”,一个“复位”;本系统除了里程统计和费用计算以外,还有屏幕显示和语音播报等功能。 使用LCD1602作为显示器,可以显示数字、字母使系统信息一目了然; 由ISD1760语音芯片播放语音信息,语音信息放在不同的地址里,由单片机从这些地址中调用合适的语音进行播放; 采用LM386
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:837795
    • 提供者:森森向上
  1. 51-555

    0下载:
  2. 用555芯片产生并输出等间隔连续脉冲、断续波脉冲、幅度调制脉冲三种波形发生电路以及电压和电流输出电路,通过通过单片机控制选择不同的治疗刺激脉冲序列的输出、控制治疗时间-555 chip generates and outputs interval continuous pulse the intermittent wave pulse, amplitude modulated pulse the three waveform generation circuit and the voltage
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-24
    • 文件大小:23843
    • 提供者:邢亚东
  1. chuankoushoufa

    0下载:
  2. 接收代码: 对接收数据的采样频率:16X9600HZ 接收代码编写思路: 首先判断起始位,没有数据传输时,起始位为“1”的状态,当有数据时起始位为“0”。因为采样的频率是通信频率的16倍,所以当连续8次(数据位正中间)采集为“0”时就认为是有数据到来。那么可以开始采集数据位,以后每隔16个脉冲采集一个数据(每个数据的正中央,不易发生畸变的部分),连续采样8次,即完成数据位的采集。最后实现串并转换。如此重复即可。(因为通信已经预约好,停止位和校验位都为“1”,不会对数据产生影响。)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:86013
    • 提供者:ran feng
  1. yuanlitu

    0下载:
  2. 1、用单次脉冲申请中断,在中断处理程序中使P1.0的输出状态发生反转,并通过发光二极管观察P1.0的电平。 2、通过本实验加深对8051单片机中断系统的理解,学习外部中断的基本使用方法及相关编程。 -1 and disrupted by single pulse application in interrupt handlers make P1.0 output state reversals, and by observation of light emitting diode P1.
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:118613
    • 提供者:潘敏
  1. P1out

    0下载:
  2. 1、用单次脉冲申请中断,在中断处理程序中使P1.0的输出状态发生反转,并通过发光二极管观察P1.0的电平。 2、通过本实验加深对8051单片机中断系统的理解,学习外部中断的基本使用方法及相关编程。-1 and disrupted by single pulse application in interrupt handlers make P1.0 output state reversals, and by observation of light emitting diode P1.0
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:15282
    • 提供者:潘敏
  1. HC-SR04-stm8s

    0下载:
  2. 超声波发生器内部结构有两个压电晶片和一个共振板。当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频时,压电晶片将会发生共振,并带动共振板振动,便产生超声波。-Ultrasonic generator internal structure has two piezoelectric chip and a sounding board. When it is applied bipolar pulse signal having a frequency equal to the natural
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:43629
    • 提供者:tang
  1. exp11

    0下载:
  2. 在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。熟练的运用示波器观察实验箱上的探测点波形。掌握时序电路设计的基本思想。-On the basis of mastering the controllable pulse generator, the principle of the digital modulation signal of the positive and negative pulse width is understood. Skilled use of osci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:812
    • 提供者:漆广文
  1. pcnn

    0下载:
  2. pcnn分割,输入的灰度图像,Edge:检测到的一些边界点,Numberofaera则表明了在各次迭代时激活的块区域 利用PCNN进行图像分割时,是将每个像素对应一个PCNN神经元,归一化的像素灰度值 作为外界刺激新型号,将这些神经元按一定方式连接,就得到一个单层脉冲耦合神经网络,向 网络输入一幅图像,当某个像素对应的神经元发生脉冲,邻域内与它相似的神经元也发生脉冲, 产生的脉冲序列构成了一个二值图像序列,这样的序列就是PCNN输出的分割图像。-PCNN segment
  3. 所属分类:source in ebook

    • 发布日期:2017-04-13
    • 文件大小:2149
    • 提供者:jcj
  1. piufiu_v50

    0下载:
  2. 结合PCA的尺度不变特征变换(SIFT)算法,利用matlab写成的窄带噪声发生,采用的是脉冲对消法。- Combined with PCA scale invariant feature transform (SIFT) algorithm, Using matlab written narrowband noise occurs, It uses a pulse of consumer law.
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5116
    • 提供者:唐建英
  1. goumao_v67

    0下载:
  2. 利用matlab写成的窄带噪声发生,脉冲响应的相关分析算法并检验,基于matlab平台实现。- Using matlab written narrowband noise occurs, Related impulse response analysis algorithm and inspection, Based on matlab platform.
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:4080
    • 提供者:foubang
« 1 2 34 »
搜珍网 www.dssz.com